投稿者「しろくま」のアーカイブ

【製品紹介】OPA627BM デュアル 8Pin DIP変換基板実装済み

本製品はTexas Instruments社の高精度低ノイズオペアンプOPA627BMを8PinDIP変換基板に2個両面実装したものです。 基板が大型なためベンチャークラフト社製品などスペースに余裕が無い機器には組み込み … 続きを読む

カテゴリー: 商品カタログ, 通信販売 | 【製品紹介】OPA627BM デュアル 8Pin DIP変換基板実装済み はコメントを受け付けていません

【製品紹介】OPA627 デュアル 8Pin DIP小型変換基板実装済み

本製品はTexas Instruments社の高精度低ノイズオペアンプOPA627を8PinDIP小型変換基板に2個両面実装したものです。 小型の基板に実装していますので、スペースに制約がある機器にも向いています。 金プ … 続きを読む

カテゴリー: 商品カタログ, 通信販売 | 【製品紹介】OPA627 デュアル 8Pin DIP小型変換基板実装済み はコメントを受け付けていません

Dr.DAC3を買いました

オペアンプの販売をしてますが、あまり差し替えで遊ぶことはしていませんでした。 そのかわり自作DACで試していましたが…。 一応SounDroid Typhoonは持っていたのですが、気づいたら壊れていてそれっきり市販品の … 続きを読む

カテゴリー: 日記or雑記 | Dr.DAC3を買いました はコメントを受け付けていません

製品の寸法と適合について

当サイトで掲載している製品では、変換基板に実装している製品があります。 代表例としてはSOP8をDIP8に変換している製品がございます。 DIP8場合、小型のアンプに組み込むことが多いと思いますので、当ページで必ず寸法を … 続きを読む

カテゴリー: 通信販売 | 製品の寸法と適合について はコメントを受け付けていません

USPSで発送してもらった話

アメリカで通販するとUSPSで発送されることが多いのですが、このUSPSが曲者です。 USPSはアメリカの郵便局の事ですが、日本と違ってとにかく遅いし適当です。 というわけで到着日数を記録したいと思います。 ちなみに追跡 … 続きを読む

カテゴリー: 日記or雑記 | USPSで発送してもらった話 はコメントを受け付けていません

プリンターが壊れた

2015年1月に買ったMG5630が壊れてしまいました。 5000円ぐらいで買ったプリンターでした。プリンターも安くなったなぁと感心した記憶があります。 どのプリンターもそうですが、インクがすぐになくなります。 このプリ … 続きを読む

カテゴリー: 日記or雑記 | プリンターが壊れた はコメントを受け付けていません

オーディオアナライザの備忘録

中古で買ったオーディオアナライザの初期性能がわからなくなるのでメモ ループバックの数値 Ch1 1kHz 1.0VRMS Thd 0.0003% Thd+N -106.3dB Ch2 1kHz 1.0VRMS Thd 0 … 続きを読む

カテゴリー: 電子工作 | オーディオアナライザの備忘録 はコメントを受け付けていません

【製品紹介】THS4631 デュアル 8Pin DIP小型変換基板実装済み

本製品はTexas Instruments社の高スルーレート広帯域オペアンプTHS4631を8PinDIP小型変換基板に両面実装したものです。 小型の基板に実装していますので、スペースに制約がある機器にも向いています。 … 続きを読む

カテゴリー: 商品カタログ, 通信販売 | 【製品紹介】THS4631 デュアル 8Pin DIP小型変換基板実装済み はコメントを受け付けていません

ZyboでLチカするまでの備忘録その1

だいぶ前に購入したXilinxのFPGAであるZyboですが、いい加減Lチカさせてみたいと思ったのでLチカにいたるメモを残します。 開発環境 Vivado web pack 2015.2 Create New Objec … 続きを読む

カテゴリー: 電子工作 | ZyboでLチカするまでの備忘録その1 はコメントを受け付けていません

ヘッドホンアンプを作ってみた 7号機

もう幾度かわかりませんがヘッドホンアンプを作ってみました。 回路図の番号では7番目になるようですのでHPA07と名づけましょう。 外見 特に意味もなく真空管ついてますが、中身はオペアンプとエミッタフォロアという全然ひねり … 続きを読む

カテゴリー: 電子工作 | ヘッドホンアンプを作ってみた 7号機 はコメントを受け付けていません