ZyboでLチカするまでの備忘録その1

だいぶ前に購入したXilinxのFPGAであるZyboですが、いい加減Lチカさせてみたいと思ったのでLチカにいたるメモを残します。

開発環境
Vivado web pack
2015.2

Create New Objectをクリック
WS000036

Nextをクリック
WS000037

適当にプロジェクト名をいれてNextをクリック
WS000038

RTL Projectが選ばれていることを確認してNextをクリック
WS000039

Nextをクリック
WS000040

Nextをクリック
WS000041

+をクリックしてAdd Fileをクリック
WS000042

XDCファイルを読み込む必要があるのですが、これはZyboのボードで使用できるピンの情報を定義された物です。
DigilentのサイトからVivado用のファイルをダウンロードしてください。
DSD-0000446と書かれている物です。

WS000043

xc7z010clg400-1を選択
WS000044

Finishをクリック
WS000045

Add Sourcesをクリック
WS000046

Add or create design sourcesが選択されていることを確認してNext
WS000047

+をクリックしてCreate File
WS000048

とりあえずled.vとします。言語はVerilogで。
WS000049

あとでIOポートの設定をするのでOKをクリック
WS000050

警告が出ますがYesをクリック
WS000051

led.vがプロジェクトに追加されます。
やっとコーディングできる状態になりました。
WS000000

こんな感じでコードを書きます

module led(
    SW
    LED
    );
    
    input  SW;
    output LED;
    
    assign LED = ~SW;
    
endmodule

XDCファイルを編集します
WS000001

こんな感じでコメントはずしてPin名を入れます
##IO_L7P_T1_34
set_property PACKAGE_PIN Y16 [get_ports {SW}]
set_property IOSTANDARD LVCMOS25 [get_ports {SW}]

##IO_L23P_T3_35
set_property PACKAGE_PIN M14 [get_ports {LED}]
set_property IOSTANDARD LVCMOS33 [get_ports {LED}]


とりあえずここまで

カテゴリー: 電子工作 パーマリンク

コメントは停止中です。